EDA实习报告资料详情

  • 资料名称: EDA实习报告
  • 整理日期: 2011/8/31 19:48:17
  • 资料大小: 161.60 KB
  • 文件类型: rar
  • 厂      商:
  • 贡 献 人:
  • 下载次数: 8
  • 详细介绍
    1 出租车计费系统的设计 1.1 出租车计费设计 实际中出租车的计费工作原理一般分成3个阶段: (1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km以内,只收起步价7.00元。 (2)车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。 (3)行驶路程达到或超过9 km后(车费达到20元),每公里加收50%的车费,车费变成按每公里3.3元开始计费。车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持 不变。若停止则车费清零,等待下一次计费的开始
  • *验证码: 点击刷新验证码
收藏此页 | 推荐给好友 | 更多精彩